Как сделать тактовый генератор

Добавил пользователь Алексей Ф.
Обновлено: 04.10.2024

При конструировании устройств на цифровых микросхемах нередко возникает задача построения генератора прямоугольных импульсов с теми или иными характеристиками. Данная статья призвана помочь конструктору-любителю подобрать схему задающего генератора той или иной степени сложности и необходимых характеристик.

Схема, представленная на рисунке 1 собрана на трех элементах микросхемы 155 ЛА3 и работает в режиме автогенератора благодаря задержке распространения сигнала через элементы. Для простой логики серии 155 время задержки одного элемента равно 20 нс, следовательно частота генератора, собранного на трех элементах будет примерно равна 8 МГц.

Классическая схема простейшего генератора с времязадающей цепью изображена на рис.2. Собрать его можно практически на любых элементах с инверсией (НЕ, И-НЕ, ИЛИ-НЕ), частота следования выходных импульсов зависит от емкости конденсатора С1 и сопротивления R1. Стоит учитывать, что при увеличении сопротивления R1 более 470 Ом, генерация будет неустойчивой. При номиналах R1= 300 Ом и С1=0.047 мкФ частота генерации будет составлять примерно 10 кГц.

Схема, изображенная на рис.3 содержит еще два элемента, один из которых (D1.3) служит для более устойчивой работы генератора, а другой (D1.4) используется в качестве буферного для улучшения формы выходного сигнала. При указанных на схеме номиналах R1 и емкости конденсатора 0.047 мкФ частота следования импульсов будет равна 10 КГц.

Частоту генерации мультивибратора на ТТЛ микросхемах несложно изменять не только номиналами сопротивления и емкости, но и изменением напряжения. На схеме, представленной на рис.4 управляющее напряжение подается на вход Упр. и может изменяться от нуля до напряжения питания микросхемы. При увеличении напряжения на входах у элементов быстрее наступает порог срабатывания в процессе перезаряда конденсаторов, а значит и увеличивается частота генерации.


Схема генератора, частоту и скважность которого можно оперативно менять с помощью переменных резисторов, изображена на рис. 5. При указанных на схеме номиналах резисторов и емкости конденсатора С1=0.1 мкФ скважность можно изменять от 1.5 до 3 (резистором R2), а частоту от 8 до 25 кГц (R1). Для другого диапазона частот придется изменить емкость конденсатора С1.


Особенность управляемого генератора импульсов, изображенного на рис.6 состоит в том, что длительность последнего генерируемого импульса не зависит от времени окончания управляющего сигнала. Когда бы сигнал Упр. не исчез, генератор в любом случае отработает период до конца. Достигнуто это тем, что один из входов управляющего элемента D1.1 подключен к выходу мультивибратора, собранного на элементах D1.2 – D1.4.


Запускается мультивибратор низким уровнем на входе Упр. и если в процессе работы генератора этот сигнал пропадет (станет высоким), то благодаря обратной связи (выход D1.4 – вход D1.1) мультивибратор остановится только тогда, когда отработает период полной длительности и уровень на его выходе не станет низким. В дополнение частоту генератора можно плавно изменять переменным резистором R2 (при указаных на схеме номиналах от от 4 до 25 кГц).

Обычно при построении генераторов на ТТЛ микросхемах используются резисторы небольшого номинала и потому емкости времязадающих конденсаторов получаются относительно большими, а диапазон регулировки частоты невелик. Увеличить диапазон регулировки до 200 раз можно, включив во времязадающую цепь транзистор с достаточно большим входным сопротивлением, как изображено на рис.7. При изменении емкости времязадающего конденсатора от 10 мкФ до 20 пФ, среднюю частоту генератора можно изменять от долей герца до нескольких МГц.


Еще одна схема, но уже с полевым транзистором, позволяет с помощью резистора R1 изменять частоту генератора в 50 000 раз (рис.8). Кроме того, высокое входное сопротивление затвора полевого транзистора позволяет получать низкую частоту генерацию при относительно небольшой емкости времязадающего конденсатора. К примеру, при указанных на схеме номиналах и максимальном значении R1 частота генерации составит примерно 0.5 Гц. Вполне очевидно, что для плавного изменения частоты в таком большом диапазоне, желательно, чтобы резистор R1 был многооборотным.


Все вышеописанные мультивибраторы не отличаются высокой стабильностью частоты, которая зависит от напряжения питания, температуры окружающей среды и еще целого ряда факторов, поэтому в случаях, когда к стабильности генерируемой частоты предъявляются высокие требования, в схему вводятся кварцевые резонаторы, работающие на необходимой частоте (рис.9). Строя подобные генераторы, следует иметь в виду, что приближение генерируемой частоты к граничной частоте переключения элементов, ухудшает форму сигнала, приближая ее форму к синусоидальной.

Тактовый генератор имеет в своем составе низкочастотный RC-генератор, Основной тактовый генератор и модуль ФАПЧ (PLL). Он вырабатывает следующие тактовые сигналы:

  • SLCK, низкочастотный тактовый сигнал, постоянен в пределах системы
  • MAINCK выход основного тактового генератора
  • PLLCK выход модуля ФАПЧ и делителя частоты

Интерфейс тактового генератора встроен в контроллер управления потребляемой мощности PMC и описан в главе 26.9. Однако имена регистров тактового генератора объединены в группе CKGR_.

25.2 Низкочастотный RC генератор

Низкочастотный RC генератор - единственный постоянный тактовый сигнал в пределах системы, включая контроллер управления потребляемой мощности PMC. Это необходимый сигнал для работы PMC. Пользователь должен учитывать возможный дрейф RC генератора. Более подробная информация приведена в секции характеристик по постоянному току.

25.3 Основной тактовый генератор

На рис.25-1 приведена структурная схема тактового генератора .

Структурная схема тактового генератора


Рис. 25-1. Структурная схема тактового генератора

25.3.1 Включение основного тактового генератора

Тактовый генератор включает в себя основной тактовый генератор, работающий с кварцевым резонатором частотой от 3 до 20 МГц. Типовая схема включения кварца приведена на рис.25-2. При работе с кварцем на частотах до 8 МГц требуется установка резистора номиналом 1 кОм. К выводам XIN и XOUT подключаются конденсаторы емкостью по 25 пФ. Следовательно, емкости CL1 и CL2 можно не устанавливать, при использовании кварца с входной емкостью равной 12,5 пФ. Более подробная информация по электрическим характеристикам приведена в секции характеристик по постоянному току.

Типовая схема включения кварцевого резонатора


Рис. 25-2. Типовая схема включения кварцевого резонатора

25.3.2 Время запуска основного тактового генератора

Время запуска основного тактового генератора приведено в секции характеристик по постоянному току. Время запуска зависит от частоты кварца и уменьшается с ростом частоты.

25.3.3 Управление основным тактовым генератором

Для уменьшения потребления мощности при запуске системы основной тактовый генератор отключен, при этом выбран низкочастотный RC генератор.

Программно возможно включить/отключить основной тактовый генератор для уменьшения потребляемой мощности. Для этого производится сброс MOSCEN бита в регистре основного генератора (CKGR_MOR).

Отключение основного тактового генератора сбросом MOSCEN бита в CKGR_MOR приводит к автоматическому сбросу MOSCS бита в регистре PMC_SR, сообщая, что основной тактовый генератор отключен.

При разрешенном основном генераторе, пользователь должен инициализировать счетчик основного генератора значением, соответствующим времени запуска генератора. Время запуска зависит от частоты подключенного кварца.

При достижении счетчиком нуля, MOSCS устанавливается, сообщая, что частота основного генератора установилась. Установка MOSCS бита в PMC_IMR может быт источником прерывания для процессора.

25.3.4 Счетчик установки частоты основного генератора

Счетчик установки частоты основного генератора позволяет точно определить частоту подключенного кварцевого резонатора. Это может быть полезно для программы бут загрузчика для конфигурации микроконтроллера правильным значением тактового сигнала, независимо от приложения.

Счетчик установки частоты основного генератора начинает счет на скорости основного тактового генератора после появления положительного фронта низкочастотного генератора (сигнала SLCK), после стабилизации основного тактового генератора, то есть, как только установлен MOSCS бит. Затем по 16-ому отрицательному фронту сигнала SLCK устанавливается MAINRDY бит в регистре основной тактовой частоты CKGR_MCFR (Main Clock Frequency Register) и счетчик останавливает счет. Это значение может быть считано в поле MAINF регистра CKGR_MCFR и равно числу циклов основного тактового генератора за 16 периодов сигнала SLCK. Таким образом, может быть определена частота подключенного кварцевого резонатора.

25.3.5 Подключение внешнего генератора

25.4 ФАПЧ и Делитель частоты

ФАПЧ включена во входной делитель для увеличения точности результирующего тактового сигнала. Тем не менее, пользователь должен выбрать минимальное значение частоты на входе ФАПЧ при программировании делителя.

На рис.25-3. приведена структурная схема ФАПЧ и Делителя частоты.

Структурная схема ФАПЧ и Делителя частоты


Рис. 25-3. Структурная схема ФАПЧ и Делителя частоты

25.4.1 Фильтр ФАПЧ

Схема ФАПЧ требует подключения внешнего фильтра 2-го порядка через вывод PLLRC. На рис.25-4 приведена схема такого фильтра.

Схема фильтра для ФАПЧ


Рис. 25-4. Схема фильтра для ФАПЧ

Номиналы R, C1 и C2 ,подключенные к выводу PLLRC, рассчитываются как функции входной частоты ФАПЧ, выходной частоты ФАПЧ и фазы. Выбор оптимального значения осуществляется по сдвигу выходного сигнала и времени запуска.

25.4.2 Программирование схемы ФАПЧ и Делителя частоты

Делитель может быть установлен в диапазоне от 1 до 255. При записи в поле делителя DIV нуля, на выходах соответствующего делителя и ФАПЧ устанавливается сигнал низкого уровня. После сброса, в каждое поле DIV записывается нуль, что приводит к установке нуля на соответствующих тактовых входах ФАПЧ.

Схема ФАПЧ допускает умножение сигналов с выходов делителей. Частота тактового сигнала ФАПЧ зависит от частоты исходного сигнала и параметров DIV и MUL. Значение выходной частоты определяется выражением (MUL + 1)/DIV. Запись в поле MUL нуля приводит к отключению ФАПЧ. Включение схемы ФАПЧ осуществляется записью в поле MUL значения большего нуля.

После включения ФАПЧ или изменения одного из ее параметров LOCK бит в регистре PMC_SR автоматически сбрасывается. Значения, записанные в поле PLLCOUNT в регистре CKGR_PLLR, загружаются в счетчик ФАПЧ. Счетчик ФАПЧ после этого декрементируется на скорости сигнала SLCK до достижения нуля. В этот момент LOCK бит в регистре PMC_SR установлен и может сформировать прерывание для процессора. Пользователь должен загрузить число тактов сигнала SLCK в поле PLLCOUNT, что определяет время перекрытия переходного процесса ФАПЧ. Длительность переходного процесса зависит от фильтра ФАПЧ. Начальные параметры ФАПЧ и выходная частота могут быть вычислены с использованием специальной утилиты предоставляемой фирмой Atmel.

Во многих схемах, построенных на логических микросхемах есть источники прямоугольных импульсов, - мультивибраторы на логических элементах с заданием частоты RC-цепями. Здесь пойдет речь о мультивибраторах на логических элементах КМОП-логики, представляющей собой цифровые микросхемы с низким .

Схема генератора импульсов, управляемого напряжением (2,5-12В, частота 1-26 кГц)

Как известно, частота RC-генератора, как на логических элементах, так и на другой элементной базе, сильно зависит от напряжения питания. Главным образом это происходит из-за влияния напряжения питания на емкости полупроводниковых переходов и каналов. Что касается мультивибратора на логических .

Схема простого мультивибратора для мощной нагрузки (КТ972, КТ973)

Принципиальная схема мощного транзисторного мультивибратора с управлением, построен на транзисторах КТ972, КТ973. Многие радиолюбители начинали свой творческий путь со сборки простых радиоприёмников прямого усиления, несложныхусилителей мощности звуковой частоты и сборки простых мультивибраторов .

Простые генераторы частоты 50-120Hz на микросхемах CD4060

Существует аппаратура и приборы, не только питающиеся от электросети, но и вкоторых электросеть служит источником таковых импульсов, необходимых для работы схемы прибора. При питании таких приборов от электросети с другой частотой или от автономного источника возникает проблема с тем, откуда взять .

Схема генератора управляемого напряжением с удвоенным диапазоном управления Схема удваивает коэффициент отклонения частоты, заданный генератором, управляемым напряжением (ГУН). Управляющее напряжение для ГУН, выполненного на микросхеме MC1658, с диапазоном изменения от 0 до 2 В ослабляется и подается на операционный усилитель АМ685, который подключен, как компаратор. .

Синусоидальный генератор с частотой 1,5-2,5 кГц Состоящая из трех частей схема генератора с фазовращателем, являющегося линейным во всей полосе генерируемых частот и выдающего на выходе хороший синусоидальный сигнал. Цепь фазовращателя находится в петле обратной связи регенеративного радиоприемника, а схема в итоге представляет собой генератор.

Генератор на 52 МГц с ЧМ на варикапе

Варикап Со схемы обеспечивает модуляцию ±75 кГц от основной частоты транзисторного генератора частотой 52 МГц при напряжении источника питания 15 В. Схема обладает хорошей линейностью модуляции для входных напряжений до ±200 мВ и вместе с тем может применяться для.

Схема высокоскоростного управляемого напряжением задающего генератора Высокоэффективная схема задающего генератора обладает высокой скоростью 4000 В/мкс реагирования на управляющее напряжение, при использовании источника питания на 80 В. Уровень выходного сигнала может быть до +30 В. Схема может работать с большой частотой скорости модуляции до 20 МГц.

Экспоненциальный генератор управляемый напряжением Схема генератора управляемого напряжением может эксплуатироваться при линейном масштабе изменения управляющего напряжения от времени и с логарифмической зависимостью частоты генератора, как это требуется при снятии частотной характеристики того или иного устройства. Полезный диапазон изменения.

Генератор с управлением напряжением 0-10кГц, управление 0-10 В Мультивибратор САЗ130 генерирует импульсы с постоянной амплитудой "V" и длительностью "Т2", как это показано на рисунке. Среднее значение выходного напряжения EAvg подается через интегрирующую цепь R3-C2 на неинвертирующий вход компаратора САЗ 160. Выходной сигнал компаратора с вывода 6 подается.

Каждому микроконтроллеру нужен источник тактового сигнала. Процессор, шина памяти, периферия – тактовые сигналы находятся внутри микроконтроллера. Они определяют скорость, с которой процессор выполняет инструкции, скорость передачи сигналов по последовательной связи, количество времени, необходимое для выполнения аналого-цифрового преобразования, и многое другое.

Всё это тактирующее действие приводит к источнику тактового сигнала, а именно к генератору. Поэтому вам необходимо убедиться, что ваш генератор сможет поддерживать любую производительность, которую вы ожидаете от своего микроконтроллера. Однако, в то же время некоторые варианты генераторов более сложны или дороги, по сравнению с другими. Поэтому ваш выбор генератора должен также основываться на важности снижения затрат и сложности, когда это возможно.

Существует довольно много способов формирования тактового сигнала для микроконтроллера. Техническое описание для вашего конкретного устройства должно содержать достаточно много информации о том, какие типы генераторов вы можете использовать, и как реализовать их таким образом, чтобы это было совместимо с аппаратным обеспечением устройства. В данной статье основное внимание будет уделено преимуществам и недостаткам различных источников тактовых импульсов, чтобы вы могли осмысленнее сделать выбор среди вариантов генераторов, описанных в техническом описании на ваш микроконтроллер.

Итак, давайте начнем со списка, а затем обсудим каждый вариант:

  • внутренний:
    • обычно (насколько я знаю, всегда) схема резистор-конденсатор;
    • петля фазовой автоподстройки частоты с дальнейшим умножением частоты;
    • генератор на CMOS логике;
    • кварцевый резонатор;
    • керамический резонатор;
    • резистор-конденсатор;
    • только конденсатор.

    Внутренние генераторы: принцип KIS

    Основным недостатком внутренних генераторов является малые точность и стабильность частоты. Частота зависит от значений пассивных компонентов, составляющих схему генератора, а допуски для значений этих пассивных компонентов не особенно жесткие. Кроме того, на емкость и сопротивление влияет температура окружающей среды, поэтому внутренние RC-генераторы подвержены температурному дрейфу, то есть изменения температуры приводят к изменениям частоты.

    По моему опыту, многие приложения могут терпеть недостатки внутреннего генератора, особенно когда частота была откалибрована на заводе. В старых микроконтроллерах частота внутреннего генератора может иметь допуск до ±20%. Однако более новое устройство может дать вам точность ±1,5% (или лучше), что достаточно точно для связи по RS-232 и даже (в сочетании со схемой восстановления тактового сигнала) для USB.

    Разновидностью внутреннего генератора является использование петли фазовой автоподстройки частоты (ФАПЧ, PLL). ФАПЧ позволяет низкокачественному высокочастотному внутреннему генератору извлекать выгоду из стабильности и точности внешнего генератора. В целом, ФАПЧ не помогает вам избежать внешних компонентов, поскольку для нее требуется эталонный тактовый сигнал, который обычно получают с помощью кварцевого резонатора. Тем не менее, если у вас где-то на плате есть высококачественный тактовый сигнал, но вы не хотите использовать его для микроконтроллера, потому что он слишком медленный, вы можете использовать PLL, чтобы умножить этот тактовый сигнал до приемлемой частоты.

    Генератор на CMOS логике

    Кварцевый генератор

    Кварцевый генератор

    Кварцевые генераторы – это удобные устройства, которые состоят из кварцевого резонатора и дополнительной схемы, необходимой для генерирования стандартного цифрового тактового сигнала. Таким образом, вы получаете стабильность и точность кварца, не беспокоясь о нагрузочной емкости и тщательности компоновки печатной платы, необходимой для обеспечения надежной работы микроконтроллера с отдельным кварцевым резонатором.

    Генератор тактового сигнала на микросхеме LTC6930-8.00 График распределения типового отклонения частоты

    Кремниевые генераторы более надежны, чем кварцевые и керамические резонаторы, особенно в суровых условиях, подверженных ударам или вибрации. Но они дороже.

    Кварцевые и керамические резонаторы

    Если вам нужна действительно высокая точность и стабильность без дополнительных затрат на микросхему генератора на основе кварцевого резонатора, выбирайте вариант с одиночным кварцевым резонатором. На рынке широко доступны компоненты с допуском менее 20 миллионных долей (т.е. 0,002%). Схема генератора, показанная выше, частично интегрирована в микроконтроллеры, которые поддерживают конфигурацию с отдельным кварцем; вам нужно будет только обеспечить правильные нагрузочные конденсаторы. Общая емкость нагрузки (Cнагр.общ.) указывается в техническом описании на кварцевый резонатор, а нагрузочные конденсаторы выбираются следующим образом:

    где Cпар. представляет любую паразитную емкость. Этот расчет на практике довольно прост: выберите разумное значение для Cпар. (скажем, 5 пФ), вычтите его из Cнагр.общ., и затем умножьте результат на два. Таким образом, если техническое описание указывает нагрузочную емкость 18 пФ, мы имеем

    \[C_ = C_ = (18пФ - 5пФ) \cdot 2 = 26 пФ\]

    Керамические резонаторы менее точны, чем кварцевые; допуски обычно составляют от 1000 до 5000 милилонных долей. Они могут сэкономить вам несколько центов, если вам не нужна точность кварца. Но, на мой взгляд, главное преимущество заключается в том, что вы можете получить керамические резонаторы со встроенными нагрузочными конденсаторами.

    Последний и наименее распространенный.

    Существует не так много ситуаций, когда требуется генератор на внешних резисторе-конденсаторе или только на конденсаторе. Если по какой-то причине вам не подходят варианты внешних генераторов, рассмотренные выше, выбирайте микроконтроллер с внутренним генератором и используйте его. Однако, если вы полны решимости откопать один или два пассивных компонента из своей коробки запчастей, обратитесь к техническому описанию микроконтроллера за инструкциями по подключению и проектированию схемы генератора. Ниже показаны примеры подключения компонентов, взятые из технического описания на микроконтроллеры C8051F12x – 13x от Silicon Labs:

    Варианты использования внешних резисторов и конденсаторов для создания схемы тактового генератора микроконтроллера

    Варианты использования внешних резисторов и конденсаторов для создания схемы тактового генератора микроконтроллера

    И вы можете обратиться к странице 190 этого же технического описания для получения информации о выборе значений компонентов.

    Заключение

    Надеюсь, что теперь вы знаете достаточно, чтобы в следующий раз, когда вам нужно будет выбрать генератор для микроконтроллера, принять обоснованное и уверенное решение. Вот мои рекомендации в двух словах:

    Как нам говорит учебник физики, частота — это количество колебаний, произведенных за определенный промежуток времени. Чаще всего этот промежуток времени называют периодом и для удобства измерений его берут равным одной секунде.

    Какие можно привести примеры подобных колебаний? Это могут быть часы с кукушкой, маятник, качели

    Как тактировать AVR

    и даже круги на воде от камушка, который мы кинули в воду:

    Как тактировать AVR

    Более подробно про частоту и период можно прочитать в статье Электрические сигналы и их виды.

    Так, теперь ближе к делу. Что же такое тактовая частота?

    Любая операция МК или его мегакрутого брата-микропроцессора состоит из отдельных элементарных действий, то есть тактов.

    Получается, тактовая частота — это сколько тактов в секунду может выполнить наш МК или процессор. Отсюда напрашивается вывод, чем больше тактовая частота, тем больше количество операций за секунду может сделать МК или микропроцессор.

    Вернемся к нашим баранам), а именно, к тактовой частоте. Допустим, мы имеем МК Tiny 2313, сконфигурированный на работу 8 МегаГерц и который может выполнять при этом 8 миллионов тактов в секунду. Каждая операция процессора состоит из тактов. А когда процессор выполняет нашу программу, записанную во Flash память, он тоже производит определенные операции которые указаны в программе. Граничная частота МК Tiny 2313, как говорит нам Datasheet, довольно высокая и составляет аж целых 20 МГц! Это довольно много по меркам МК

    Как тактировать AVR

    Правда, это только с применением внешнего кварцевого резонатора.

    Кварцевый резонатор, называемый часто просто кварц, может выглядеть по-разному:

    Как тактировать AVR

    На схемах он обозначается так:

    Как тактировать AVR

    На кварце часто указана частота, на которой он работает. Ниже на фото мы видим кварц, который работает на частоте 8 МегаГерц (8.000MHz)

    Как тактировать AVR

    В большинстве случаев стараются указывать частоту на корпусе кварца. Если у вас есть какое-либо ненужное цифровое устройство, вы вполне можете выпаять кварц на нужную частоту прямо оттуда. Но в большинстве случаев в этом нет необходимости, так как новый кварцевый резонатор в радиомагазине стоит порядка 20-30 рублей.

    Есть один нюанс, любезно предоставленный нам производителями микроконтроллера. Если нам нужно, чтобы программа выполнялась медленнее в восемь раз, мы можем этого добиться даже не переписывая программу и не выставляя задержки по новой, то есть в 8 раз длиннее. Нам достаточно уменьшить частоту МК в восемь раз и программа будет для нас выполняться медленнее в восемь раз. Забегая вперед, скажу, что сделать это мы можем очень легко, выставив всего одну галочку при программировании фьюз-битов, в бите CKDIV 8. Также легко мы можем отменить все наши изменения.

    Как тактировать AVR

    Этот способ мы использовали при прошивке МК в прошлой статье.

    Существуют 4 варианта, которые применяются для тактирования МК:

    — тактирование от внутреннего RC-генератора

    — тактирование от внешнего кварца

    — тактирование от внешнего генератора

    — тактирование от RC-цепочки

    Тактирование от внутреннего RC-генератора

    Тактирование от внешнего кварца

    Потом подсоединить кварц вот по такой схеме:

    Как тактировать AVR

    Потом при прошивке надо правильно выставить фьюзы. О них мы с вами поговорим в следующих статьях.

    На реальных платах можно увидеть примерно вот такие схемы расположения элементов с тактированием от кварца. Здесь изображен МК AVR в корпусе TQFP , конденсаторы в SMD исполнении, скорее всего в корпусе 0805, и кварц.

    Как тактировать AVR

    А здесь изображен МК в корпусе DIP , два керамических конденсатора, так называемые “желтые капельки”, и кварц.

    Как тактировать AVR

    Как видно на схеме подключения кварца к МК, номинал нужных нам конденсаторов должен составлять 15-22 пикофарада. Расшифровать номинал таких конденсаторов, можно с помощью этого рисунка:

    Как тактировать AVR

    Тактирование от внешнего генератора

    К тактированию от внешнего генератора прибегают тогда, когда требуется синхронизовать МК с внешними цепями, либо этот МК тактируют какой-либо своей частотой от генератора частоты. Тактирующий сигнал подают на ножку XTAL1:

    Как тактировать AVR

    Тактирование от RC-цепи

    Тактирование от RC-цепочки осуществляется вот по такой схеме:

    Как тактировать AVR

    Здесь мы берем конденсатор емкостью не менее 22 пФ, а резистор от 10 Ом и до 100 КилоОм. По простой формуле можно с легкостью рассчитать частоту, на которой будет тактироваться наш МК:

    R — сопротивление резистора, Ом.

    С — емкость, Фарад.

    Но чтобы получить очень точную частоту тактирования, которая почти не гуляет, надо использовать кварц. Тактирование от кварца важно при создании точных измерительных приборов, электронных часов, устройств сложной и точной автоматики, да и вообще любых устройств, где важна точность и не допустимы малейшие отклонения.

    Итак, как мы помним из предыдущей статьи, некоторые ножки имеют двойное назначение, и помимо того, что могут использоваться как порты ввода-вывода, также используются для обеспечения расширения функций МК. Действительно, если МК сконфигурирован для работы от внутреннего RC-генератора, вам достаточно подать на него питание +5 Вольт и землю, и микроконтроллер включится и начнет выполнять программу. Но если вы выпаяли микроконтроллер из какого-либо устройства и он должен был в нем тактироваться от кварца, или по ошибке выставляя биты конфигурации, вы выставили тактирование от кварца, МК перестанет у вас быть виден в программе оболочке, и не сможет выполнять программу, даже если вы подадите на него +5 Вольт и землю.

    Что же делать в таком случае? В первую очередь не паниковать) и собрать схему с тактированием от кварца, и тогда мы сделаем видимым наш МК, который вдруг может быть переставать у вас определяться оболочкой программатора и работать в схеме, если вы ошибочно переведете МК в режим тактирования от кварца, путем выставления определенных фьюзов. Об этом мы как-нибудь еще поговорим ;-)

    Читайте также: